Например TDA7294

Форум РадиоКот • Просмотр темы - Макетная плата для Altera EPM 3064
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Чт апр 18, 2024 06:24:22

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 10 ] 
Автор Сообщение
Не в сети
 Заголовок сообщения: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 11:09:22 
Родился

Зарегистрирован: Вт ноя 25, 2014 10:59:18
Сообщений: 4
Рейтинг сообщения: 0
Доброго времени суток, ув. форумчане!

С аналоговой схемотехникой работаю уже несколько лет, и а вот с цифровой только начинаю.

Такой вопрос!

Есть где-то у кого-то готовая схема макетной платы для упомянутой в заголовке микросхемы?
То есть с необходимым минимумом обвязки (для питания, JTAG и т.д.)
Понимаю, что есть даташит и там все написано, куда и че тыкать, но, к сожалеию в английском не силен(


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 14:26:16 
Опытный кот
Аватар пользователя

Карма: 16
Рейтинг сообщений: 166
Зарегистрирован: Вс дек 02, 2012 16:58:33
Сообщений: 826
Откуда: Уже не город Белых гор
Рейтинг сообщения: 0
Есть хороший ресурс
marsohod.org
там всё есть.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 16:51:21 
Родился

Зарегистрирован: Вт ноя 25, 2014 10:59:18
Сообщений: 4
Рейтинг сообщения: 0
Был там, но по моей ПЛИС не все есть. Читал даташит, но мало что понял в связи с плохим знанием английского.
Не все названия выводов понятны, такие как GCLRn, TDI, TMS, OE1, GCLK1 и т.д.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 17:06:59 
Опытный кот
Аватар пользователя

Карма: 16
Рейтинг сообщений: 166
Зарегистрирован: Вс дек 02, 2012 16:58:33
Сообщений: 826
Откуда: Уже не город Белых гор
Рейтинг сообщения: 0
EPM3064 устаревшая схема. имеет ограниченный ресурс перепрограммирования и маленькое число ячеек. Я бы рекомендовал EPM240. С ней у меня всё получилось.


Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 17:09:08 
Опытный кот
Аватар пользователя

Карма: 16
Рейтинг сообщений: 166
Зарегистрирован: Вс дек 02, 2012 16:58:33
Сообщений: 826
Откуда: Уже не город Белых гор
Рейтинг сообщения: 0
fender_p писал(а):
Не все названия выводов понятны, такие как GCLRn, TDI, TMS, OE1, GCLK1 и т.д.

GCLR - общий сброс
TDI, TMS - нужны для программирования
OE - разрешение работы выходов
GCLK - глобальный тактовый сигнал. Годится для высокочастотного входа на счётчик.


Последний раз редактировалось AlekseyEnergo Вт ноя 25, 2014 18:57:56, всего редактировалось 1 раз.
Нарушение пункта 2.2 правил форума. Предупрежден!


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 17:53:47 
Родился

Зарегистрирован: Вт ноя 25, 2014 10:59:18
Сообщений: 4
Рейтинг сообщения: 0
Да мне там весьма примитивную задачу нужно, что б оно решало. Да и под руками уже именно 3064 имеется. Другую, это нужно заказывать, что б везли из другого города. Но спасибо, учту)

То есть, как я понял, я задействываю ножки для питания, JTAG, несколько ножек под необходимые входа и выходы, а те же clk, clr, oe могу оставлять висящими в воздухе?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 18:00:06 
Опытный кот
Аватар пользователя

Карма: 16
Рейтинг сообщений: 166
Зарегистрирован: Вс дек 02, 2012 16:58:33
Сообщений: 826
Откуда: Уже не город Белых гор
Рейтинг сообщения: 0
fender_p писал(а):
а те же clk, clr, oe могу оставлять висящими в воздухе?

Лучше обьяви их как входы с подтяжкой. В опциях квартуса есть галочка, что делать с неиспользуемыми входами.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Вт ноя 25, 2014 18:03:14 
Родился

Зарегистрирован: Вт ноя 25, 2014 10:59:18
Сообщений: 4
Рейтинг сообщения: 0
Спасибо большое) Ситуация проясняется) А то кроме форумов, литературы на русском языке практичски нет по этим девайсам.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Ср ноя 26, 2014 04:00:15 
Мудрый кот
Аватар пользователя

Карма: 24
Рейтинг сообщений: 286
Зарегистрирован: Чт июн 10, 2010 08:55:35
Сообщений: 1810
Откуда: Сибирские Афины
Рейтинг сообщения: 0
Главное правильно ножки подключить и плату развести, остальное можно перепрограммировать.

_________________
Когда уже ничего не помогает - прочтите, наконец, инструкцию.
Лучший оптимизатор находится у вас между ушей. (Майкл Абраш, программист Quake и QuakeII)
Избыток информации ведёт к оскудению души - Леонтьев А. (сказано в 1965 г.)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Макетная плата для Altera EPM 3064
СообщениеДобавлено: Сб ноя 29, 2014 17:40:29 
Встал на лапы

Карма: 1
Рейтинг сообщений: 17
Зарегистрирован: Сб мар 13, 2010 13:52:10
Сообщений: 85
Рейтинг сообщения: 0
Главное на чем не стоит экономить - это на блокировочных конденсаторах. по 0,1мкФ на каждый вывод питания. Конечно на макетке конструкция может и так заработать, но в серии отсутствие емкостей может стать источником трудноуловимых глюков.

_________________
misterdi<@>i.ua


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 10 ] 

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 3


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y