Например TDA7294

Форум РадиоКот • Просмотр темы - Xilinx ISE 11....как?
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Ср апр 24, 2024 15:31:37

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 157 ]     ... , , , , 8
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 20:50:46 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Версия 8.1
Есть еще 12.4 но в ней я вообще не нашел симулятора…


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 21:00:57 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
в 8.1 еще есть старый симулятор. Но уже давно не пользовался. Короче, там проект есть в двух режимах что-то вроде имплементация и симуляция. Надо перейти в режим симуляции. И тогда сделать Project -> добавить новый источник. Вот там надо что-то добавить. Ориентироваться по слову "test" :) .

В после десятой версии надо добавить VHDL test bench. Но воздействия на свой модуль придётся писать на VHDL.
Потом в иерархии проекта выбрать свой тест бенч и в окошке процессов будет команда Simulate Behavioral model

Увы, точнее вспомнить не могу - давно сижу на 14-й версии


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 21:10:00 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Как я понял нужно учить VHDL…
В 14 версии есть поддержка XC9500?
Может можно симулировать в железе (XC95144XL - CPLD) через JTAG?

После квартуса это какой-то садомазохизм… :))


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 21:13:57 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
XC9500 и XC9500XL есть.
Симуляцию в железе еще не пробовал. Да и не было надобности - схемки пока слишком простые.


Вернуться наверх
 
Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 21:19:27 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
uldemir, Спасибо!!!
Буду качать 14 версию…


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб фев 14, 2015 18:10:30 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Появился еще один вопрос:
Можно ли из схемы создать элемент?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб фев 14, 2015 18:18:25 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Да. Причем команда так и называлась, вроде. Посмотреть не могу, пересаживаюсь на новую систему и еще не всё проинсталлировал.

Кстати, хотел смеха для поставить версию 9.2i, чтобы посмотреть как там было с симулятором, но под 10-м виндовсом инсталяция вообще не пошла.

p.s. Вот в одном описании нашел:
Цитата:
После добавления модулей, для каждого из них можно провести проверку синтаксиса (панель Processes -> Check Syntax) и обязательно надо выполнить там же Design Utilities -> Create Schematic Symbol. Это создаст символы, которые мы сможем поместить в схему.
Думаю и для схематика тоже будет также, только не нужно делать check syntax, а в редакторе схематика Tools -> Check Schematic. Ошибок быть недолжно.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб фев 14, 2015 18:38:45 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Спасибо! Я эту команду (Create Schematic Symbol) в главном меню искал…
Симулятор в 8 версии вообще ни о чем... С ним дальше даже не разбирался – сразу начал учить VHDL.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пн фев 16, 2015 01:01:18 
Вымогатель припоя

Карма: 4
Рейтинг сообщений: 40
Зарегистрирован: Пт янв 30, 2009 14:50:35
Сообщений: 625
Откуда: Солнечногорск
Рейтинг сообщения: 0
Кстати, насчёт симуляторов... Штатный ISEвый симулятор занимается главным образом тем, что падает из-за своих внутренних ошибках (если, конечно, проект сколько-нибудь сложный). Меня это достало, я поставил себе менторовский ModelSim -- с ним никаких проблем пока что не было.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Чт июл 30, 2015 15:26:18 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Вернулся на 8-ю версию т.к. новые версии не поддерживают XC9500 серию или я плохо искал…
Как подключить симулятор ModelSim? Требуются какие-то библиотеки, но я их нигде не могу найти.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Чт июл 30, 2015 19:24:21 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
ISE 14.7 - поддерживает xc9500:
Изображение
про моделсим - не в курсе.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Чт июл 30, 2015 21:57:54 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
А как в новых версиях ise назначать выводы микросхемы?
В старых версиях для этого была утилита PACE.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пт июл 31, 2015 05:07:50 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Абсолютно точно так же - Xilinx PACE. Из IDE "Floorplan IO - Pre-Synthesis" выбрав главный модуль проекта.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пт июл 31, 2015 10:45:43 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Если выбрать xc9500 то почему-то “Floorplan IO” становится не активным!?


Вложения:
ise.png [58.95 KiB]
Скачиваний: 556
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пт июл 31, 2015 11:30:16 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 1
Медали: 1
Получил миской по аватаре (1)
ИзображениеНадо выбирать в окошке "Процессы" в ветке User Constraints - там активно, а в тулзах, действительно неактивно.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пт июл 31, 2015 18:22:45 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
uldemir, Спасибо! Надеюсь, хоть в 14 версии симулятор не будет вылетать каждый второй запуск. :)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вт мар 29, 2016 23:29:59 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Скачал последнюю версию, вроде работает стабильно, но вот отображение RTL схем какое то не понятное. Это баг или в настройках нужно что-то менять?


Вложения:
rtl.PNG [12.69 KiB]
Скачиваний: 664
Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 157 ]     ... , , , , 8

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 7


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y