Например TDA7294

Форум РадиоКот • Просмотр темы - Xilinx ISE 11....как?
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Ср апр 24, 2024 21:12:49

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 157 ]     ... , , , 7,  
Автор Сообщение
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Чт мар 11, 2010 15:43:43 
Родился

Зарегистрирован: Сб мар 06, 2010 18:35:33
Сообщений: 7
Рейтинг сообщения: 0
А как насчет Core-Generator??? Он вроде должен генерировать синус???
D:\Xilinx\10.1\ISE\bin\nt\wincoregen.exe
File->New Project, назвал проект SIN, далее вылезает окно с запрашиваемыми параметрами. На вкладке Part (типа Family, Device, Package, Speed Grade) ну я их заполняю соответственно: Spartan2, xc2s100, tq144, -6. А зачем нужны еще 2 вкладки: Generation и Advanced?
Далее вылезает окно Sine-Cosine Look-Up Table, в самой первой вкладке есть параметры Output Width и Theta Input Widhth и еще поле Memory ROM - это все зачем? И как мне посмотреть что эта кривая в итоге действительно синус?
Всем заранее спасибо!


Вернуться наверх
 
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Чт мар 11, 2010 18:09:48 
Нашел транзистор. Понюхал.
Аватар пользователя

Зарегистрирован: Пн янв 04, 2010 02:19:25
Сообщений: 152
Откуда: хз
Рейтинг сообщения: 0
Нашел про меандр
Spartan3E писал(а):
Сопрот по сигналу после емкость на землю. Вот вам и синус из меандра.

Spartan3E сбил меня с толку. :)

uldemir писал(а):
В ISE тулбаре жмете кнопочку в виде лампочки или Edit -> Language templates можно найти примеры для многих компонентов. Вот ROM
Код:
...................................

Это оно?


Там просто заполнено по возрастающей: 1, 2, 3, 4...16.

Nobodevi4 , к сожалению в ближайшее время не могу посмотреть, сейчас Xilinx удалил за ненадобностью, а то на винте места нет.

_________________
Опыт растет прямо пропорционально выведенному из строя оборудованию.
______________
Jedem das Seine


Вернуться наверх
 
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Чт мар 11, 2010 21:14:10 
Первый раз сказал Мяу!

Зарегистрирован: Чт дек 24, 2009 23:50:46
Сообщений: 34
Рейтинг сообщения: 0
Андрюшка писал(а):
Нашел про меандр
Spartan3E писал(а):
Сопрот по сигналу после емкость на землю. Вот вам и синус из меандра.

Spartan3E сбил меня с толку. :)

uldemir писал(а):
В ISE тулбаре жмете кнопочку в виде лампочки или Edit -> Language templates можно найти примеры для многих компонентов. Вот ROM
Код:
...................................

Это оно?


Там просто заполнено по возрастающей: 1, 2, 3, 4...16.

Nobodevi4 , к сожалению в ближайшее время не могу посмотреть, сейчас Xilinx удалил за ненадобностью, а то на винте места нет.


Был вопрос -
"Народ, кто-нибудб знает, как сделать обыкновенный синус в ISE WebPack 10.1 на Spartan2 и XC2S100???"
Я предложил самый простой вариант с использованием одной ноги плиски :)) . Понятно, что синус не идеальный будет, но речь воспроизводится прекрасно. Для "красивого" синуса, как впрочем и для любой другой заданной формы сигнала, само собой - ЦАП лепить. Для синуса можно обойтись и без табличного метода. А проще всего пилу делать.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Чт мар 11, 2010 22:27:39 
Нашел транзистор. Понюхал.
Аватар пользователя

Зарегистрирован: Пн янв 04, 2010 02:19:25
Сообщений: 152
Откуда: хз
Рейтинг сообщения: 0
Пилу то да, просто счетчиком считать в "+", потом в "-".

_________________
Опыт растет прямо пропорционально выведенному из строя оборудованию.
______________
Jedem das Seine


Вернуться наверх
 
Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей.

Подробнее>>
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Пт мар 12, 2010 06:22:14 
Первый раз сказал Мяу!

Зарегистрирован: Чт дек 24, 2009 23:50:46
Сообщений: 34
Рейтинг сообщения: 0
Андрюшка писал(а):
Пилу то да, просто счетчиком считать в "+", потом в "-".


Пила делается кольцевым счетчиком в + потом в максимуме 0 и по кругу.


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Пт мар 12, 2010 14:27:54 
Родился

Зарегистрирован: Сб мар 06, 2010 18:35:33
Сообщений: 7
Рейтинг сообщения: 0
ок, спс за примеры, а могу я сделать синус, используя, к примеру, обыкновенный счетчик и блок памяти?
Ну, то есть, на счетчик будет подаваться какой-нить меандр и адреса будут перебираться, а в память загнать уже готовую таблицу значений синуса????
То есть, данные у меня - это будет функция, а адрес - это будет аргумент (угол).
???


Вернуться наверх
 
Не в сети
 Заголовок сообщения:
СообщениеДобавлено: Пт мар 12, 2010 16:40:00 
Вымогатель припоя

Карма: 4
Рейтинг сообщений: 40
Зарегистрирован: Пт янв 30, 2009 14:50:35
Сообщений: 625
Откуда: Солнечногорск
Рейтинг сообщения: 0
Ну так, собственно, так и делают, когда применяют внешний ЦАП. Должен же кто-то выдавать "цифру" на выходы ПЛИС, и проще всего её формировать в блоке памяти, адрес для которого формировать с помощью счётчика.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re:
СообщениеДобавлено: Сб мар 13, 2010 12:33:24 
Нашел транзистор. Понюхал.
Аватар пользователя

Зарегистрирован: Пн янв 04, 2010 02:19:25
Сообщений: 152
Откуда: хз
Рейтинг сообщения: 0
Spartan3E писал(а):
Андрюшка писал(а):
Пилу то да, просто счетчиком считать в "+", потом в "-".


Пила делается кольцевым счетчиком в + потом в максимуме 0 и по кругу.


Я не то написал, имел ввиду не пилу, а /\/\/\/\/\/

Nobodevi4, его по идее можно на лету рассчитывать, но я сейчас не представляю как.

_________________
Опыт растет прямо пропорционально выведенному из строя оборудованию.
______________
Jedem das Seine


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вт мар 16, 2010 21:41:07 
Родился

Зарегистрирован: Сб мар 06, 2010 18:35:33
Сообщений: 7
Рейтинг сообщения: 0
эээ.....а если у меня уже есть верилоговский файл тестбенча и проекта, как мне правильно все это дело ссимулировать...не могу разобраться....Симулирую в ModelSime...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пт мар 19, 2010 16:15:16 
Родился

Зарегистрирован: Пт мар 19, 2010 16:12:03
Сообщений: 1
Рейтинг сообщения: 0
имелось в виду шимом делать синус, скважность можно вытаскивать как из таблицы синуса, так и по разностному уравнению с правильным округлением


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб мар 20, 2010 16:44:01 
Первый раз сказал Мяу!

Зарегистрирован: Чт дек 24, 2009 23:50:46
Сообщений: 34
Рейтинг сообщения: 0
Nobodevi4 писал(а):
эээ.....а если у меня уже есть верилоговский файл тестбенча и проекта, как мне правильно все это дело ссимулировать...не могу разобраться....Симулирую в ModelSime...


Вот почитай.
http://electronix.ru/forum/index.php?ac ... st&id=1293


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб янв 22, 2011 22:22:47 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Я выучил на VHDL еще одно слово! Generic зовется. короче, я хочу повторно использовать модули, и для этого мне им надо передать параметр. Но, топовый уровень у меня - schematic. где мне прописать свой generic_map, чтобы это передалось всем подчиненным модулям?

_________________
А люди посмотрят и скажут: "Собаки летят. Вот и осень."


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс янв 23, 2011 09:51:27 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Ulemir, что мешает делать верхний уровень проекта на VHDL (через компоненты к примеру)? Ведь, на мой взгляд, плюсов больше чем минусов:
Во-первых все одном стиле (только описание);
во вторых возможность проверки всего проекта в моделсим. Насколько знаю, схематику моделсим не отрабатывает.
Всегда работал с квартусом, в нем есть возможность отрисовки RTL схемы синтезированного устройства.
Ниже пример кода
Код:
--Описание компонентов

COMPONENT Timer_control
PORT(
   s1,s4,clr,wrr,wrt,clrr,clrt,ouen:in std_logic;
   sl:in std_logic_vector(2 downto 0);
   ovfst:out std_logic;
   RT:out std_logic_vector(7 downto 0);
   Tbus:inout std_logic_vector(31 downto 0)
   );
END COMPONENT;
..............
--соединение компонентов

TMC:Timer_control
PORT MAP(
      s1=>s_stf(1),
      s4=>s_stf(4),
      clr=>s_gclr,
      wrr=>WRR,
      wrt=>WRT,
      clrr=>CLRR,
      clrt=>CLRT,
      ouen=>slouen,
      sl=>SLTM,
      ovfst=>s_ovfts,
      RT=>S_RT,
      Tbus=>S_TBUS
      );

Результат синтеза
Изображение


Вложения:
Комментарий к файлу: Схематика синтеза описания аппаратуры
RTL_Viewer.JPG [50.35 KiB]
Скачиваний: 1723

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс янв 23, 2011 10:29:17 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Не переубедите, это я уже пробовал. мне такая картинка нагляднее:
http://haos.homeip.net/NixieClock3/CoolNixieClock_cpld.PNG
Вот каждый модуль внутри удобнее написать на VHDL. А если мне эту картинку писать на VHDL то мне придется именовать цепь соединяющую два пина между manual и, скажем, cnt_hour. А оно мне надо? Я именую только значимые для меня цепи
Вот потому и стоит вопрос, где это можно указать? в пропертях объекта? может в файле констрайнтов?
p.s. Нашел в synthesis properties строчку Generics, Parameters. Только плевать оно хотело. пишу output_type:integer:=1 - а все равно генерится с дефаултным значением output_type=>0

_________________
А люди посмотрят и скажут: "Собаки летят. Вот и осень."


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пн ноя 19, 2012 10:39:07 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Всем привет, подскажите пожалуйста книгу где расписано как создавать поведенческую модель( на VHDL) на xilinx , интересует именно книги где рассказывается поэтапно кк создавать и компилировать, все книги которые скачиваю именно про сам язык и архитектуру xilinx

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Пн ноя 19, 2012 11:05:21 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
viewtopic.php?p=1042615#p1042615
Еще воспользуйтесь поиском VHDL книж*, VHDL книг*, VHDL XILINX

_________________
А люди посмотрят и скажут: "Собаки летят. Вот и осень."


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Чт июл 10, 2014 16:29:11 
Встал на лапы

Карма: -1
Рейтинг сообщений: -1
Зарегистрирован: Пн июл 21, 2008 12:34:48
Сообщений: 87
Рейтинг сообщения: 0
Доброго времени суток!

А есть у Xilinx внутрисхемный отладчик типа Альтеровского SignalTap? Или альтернатива какая? Как называется?

Спасибо.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Сб июл 12, 2014 14:02:35 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
kaknaxtak писал(а):
А есть у Xilinx внутрисхемный отладчик типа Альтеровского SignalTap? Или альтернатива какая? Как называется?

Имя ему Chipscope если не ошибаюсь.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 20:02:26 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Здравствуйте! Кто нибудь знает, как в симуляторе Xilinx ISE управлять входами – устанавливать лог. 0/1 или таймер?
Изображение


Вложения:
1.JPG [27.88 KiB]
Скачиваний: 1042
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Xilinx ISE 11....как?
СообщениеДобавлено: Вс фев 08, 2015 20:48:07 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7217
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Какой версии? после девятой только писать testbench на vhdl.


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 157 ]     ... , , , 7,  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 9


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y