Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Чт апр 18, 2024 05:11:44

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , , 4, , ,  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт авг 12, 2014 16:15:23 
Встал на лапы

Карма: 1
Рейтинг сообщений: 17
Зарегистрирован: Сб мар 13, 2010 13:52:10
Сообщений: 85
Рейтинг сообщения: 0
Цитата:
Спасибо, разобрлся уже несколько месяцев назад сам. Впрочем всё было на поверхности, стоило просто потратить час времени

Я в этом не сомневался, мое сообщение для тех, кто еще только собирается знакомится с Альтерой и этот вопрос встает в полный рост.

_________________
misterdi<@>i.ua


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн авг 25, 2014 11:44:16 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Может, кто нибудь знает аналог счетчика CD4017 (К561ИЕ8) в quartus.
Пробовал переделать сдвиговый регистр, но он работает немного не правильно.


Вложения:
wav.PNG [11.15 KiB]
Скачиваний: 556
dec.PNG [30.77 KiB]
Скачиваний: 872
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн авг 25, 2014 12:19:00 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
В приведённом вами даташите явно показано, что 4017 состоит из счетчика джонсона, а не просто сдвиговых регистров. Таким образом, там за такт перекидывается только один регистр, а не 2.

Хотя симуляция, и вправду, какая-то странная.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн авг 25, 2014 13:57:26 
Потрогал лапой паяльник
Аватар пользователя

Карма: 4
Рейтинг сообщений: 44
Зарегистрирован: Пт сен 10, 2010 20:48:01
Сообщений: 350
Откуда: Минск
Рейтинг сообщения: 0
Схема в даташите слишком замудреная, особенно если нужно расширить счетчик.
Подумал, что может есть готовое решение, оптимизированное под FPGA, но не нашел.
Не понятно, что не нравится симулятору – клок подается на все регистры одновременно!
В общем, остановился на таком варианте…


Вложения:
dec2.PNG [36.14 KiB]
Скачиваний: 868
Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт ноя 17, 2015 17:06:48 
Родился

Зарегистрирован: Вт ноя 17, 2015 16:28:59
Сообщений: 5
Рейтинг сообщения: 0
а как сам плис программировать какой нужен программатор?


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн ноя 30, 2015 15:17:32 
Потрогал лапой паяльник

Зарегистрирован: Ср янв 26, 2011 17:00:30
Сообщений: 396
Рейтинг сообщения: 0
Решил с целью повышения образованости поизучать ПЛИС. Ну и первый вопрос: зачем нужен редактор для xHDL, если все можно нарисовать в схемном редакторе?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб мар 25, 2017 20:16:04 
Родился
Аватар пользователя

Зарегистрирован: Пт мар 08, 2013 20:35:21
Сообщений: 9
Рейтинг сообщения: 0
Добрый день коты. Изучаю vhdl. Столкнулся с такой проблемой.
Есть массив, допустим с именем pack_in из сигналов std_logic_vector (7 downto 0)
При попытке сравнить ячейку массива с числом в конструкции if

if (pack_in(7) = x"FF") then

компилятор ругается на эту строчку


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб мар 25, 2017 21:14:33 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
А Вы уверены, что компилятор именно на эту строку ругается? И если да, то точно ли у Вас имеется элемент массива под номером 7? И опять таки, если да, то какие у Вас там библиотеки подключены? И че вообще компилятор то пишет?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн мар 27, 2017 19:25:11 
Родился
Аватар пользователя

Зарегистрирован: Пт мар 08, 2013 20:35:21
Сообщений: 9
Рейтинг сообщения: 0
Ругается точно на эту строчку. Если этот маленький блок if закоментить то компиляция проходит без ошибок.

if (pack_in(7) = х"FF") then
arp_flag <= '1';
end if;


Ошибку выдает - near text ""; exprcting ")" or ","

библиотеки
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;

Может быть запись сравнения с ячейкой как-то криво записана. Может вбще нельзя с ячейкой сравнивать, а надо перписать значение в отдельную переменную? И сравнивать с переменной. :shock:

Добавлено after 1 hour 33 minutes 3 seconds:
Вообщем действуя методом тыка :))) объявил x"FF" как переменную и сравнивал уже с ней
if (pack_in(7) = arp_ff) then
так проходит, что странно. По идее компилятор не должен видеть разницы в этих записях, однако видит


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн мар 27, 2017 21:52:00 
Это не хвост, это антенна

Карма: -14
Рейтинг сообщений: -151
Зарегистрирован: Ср янв 04, 2012 01:33:24
Сообщений: 1430
Рейтинг сообщения: 0
Попробуйте закомментить строку USE ieee.numeric_std.all;


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт мар 28, 2017 19:38:26 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Vert Corbie писал(а):
библиотеки
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;

Тут может быть явный конфликт библиотек. В обычной жизни (особенно под квартус) достаточно всего двух:
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
logic_arith всегда конфликтовал с нумерик.
Так что оставьте только необходимые библиотеки

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс апр 02, 2017 22:46:55 
Родился
Аватар пользователя

Зарегистрирован: Пт мар 08, 2013 20:35:21
Сообщений: 9
Рейтинг сообщения: 0
Грендайзер писал(а):
Попробуйте закомментить строку USE ieee.numeric_std.all;

Тогда я массивом не смогу пользоваться по идее.


Meteor писал(а):
Тут может быть явный конфликт библиотек. В обычной жизни (особенно под квартус) достаточно всего двух:
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
logic_arith всегда конфликтовал с нумерик.
Так что оставьте только необходимые библиотеки


Ясно. Остальные библиотеки это для операции сложения std_logic_vector. Вроде как он почему-то не может складываться напрмую и надо конвертировать в integer, складывать и конвертировать обратно.

А по поводу ошибки. Обозначил нужное число за переменную, сравниваю с ней, вроде не ругается. :lol:
variable arp_ff: STD_LOGIC_VECTOR (7 downto 0):= x"FF";

Всем спасибо :beer:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн апр 03, 2017 08:12:24 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Цитата:
Тогда я массивом не смогу пользоваться по идее.

Только в том случае если Вы компилятор сами писали и накрутили с три хрена...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср апр 12, 2017 19:17:49 
Потрогал лапой паяльник
Аватар пользователя

Карма: 19
Рейтинг сообщений: 8
Зарегистрирован: Чт окт 31, 2013 10:54:32
Сообщений: 381
Рейтинг сообщения: 0
Как можно создать массив данных, например коды для семисегментника?

Делаю так, но когда присваиваю выводам индикатора то тупо горят все сегменты. Если присваиваю просто значение, то горят как надо.:

reg [7:0] num1 [0:7];
initial
begin
num1[0] = 8'b10101010;
num1[1] = 8'b01000000;
end


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср апр 12, 2017 20:43:01 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
alex38779 писал(а):
Как можно создать массив данных, например коды для семисегментника?

Я бы попробовал так

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср апр 12, 2017 22:14:03 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Цитата:
Я бы попробовал так

Мсье знает толк в подколах :))
А если серьёзно, то когда я разбирался с верилогом, то поразился тому факту, что инициализация многомерных массивов, вообще говоря в стандарте как таковая не прописана. В качестве учебной задачи, хотел написать генератор синуса. Так вот если у вас там не какой то аппаратный блочёк типа блочной памяти или что то в этом духе, то способ его инициализации, будит сильно зависеть от средств синтеза. Тот же Synplify к примеру, инициализирует такие массивы с помощью текстового файлика (причём помоиму исключительно командой $readmemb). Для меня в своё время это показалось какой то дикостью. :dont_know: Это после VHDL, в котором работа с подобными вещами продумана достаточно хорошо, начиная с инициализации и заканчивая доступом к единичному элементу. Впрочем насколько я понял в SV этот недуг отсутствует.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс сен 09, 2018 15:16:14 
Первый раз сказал Мяу!

Зарегистрирован: Пн янв 01, 2018 09:05:17
Сообщений: 33
Рейтинг сообщения: 0
Подскажите пожалуйста:

1)Есть ли у ножек Cyclone 4 да и других моделях токо-ограничивающие резисторы и где вообще можно эту информацию увидеть ?
2)Где можно вообще посмотреть информацию, к каким ножкам подключать питание и прочую "обвязку", для работоспособности ПЛИС ?

Сильно пожалуйста не пинайте за вопросы, я совершенно не разбираюсь в этом ....


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс сен 09, 2018 20:45:42 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Sebastyan писал(а):
1)Есть ли у ножек Cyclone 4 да и других моделях токо-ограничивающие резисторы и где вообще можно эту информацию увидеть ?

Токоограничивающих резисторов нет, есть возможность при назначении вывода указать/выбрать максимальный ток. Но там есть нюансы связанны с максимальным током банка.
Sebastyan писал(а):
2)Где можно вообще посмотреть информацию, к каким ножкам подключать питание и прочую "обвязку", для работоспособности ПЛИС ?

Саму информацию о назначении выводов можно смотреть тут, выбрав нужную ПЛИС.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн сен 10, 2018 20:08:21 
Первый раз сказал Мяу!

Зарегистрирован: Пн янв 01, 2018 09:05:17
Сообщений: 33
Рейтинг сообщения: 0
Токоограничивающих резисторов нет, есть возможность при назначении вывода указать/выбрать максимальный ток. Но там есть нюансы связанны с максимальным током банка.


Это в самом Квартусе ?
Если не сложно, не могли бы Вы в самых общих чертах подсказать пожалуйста, что за ньюанс такой связанный с максимальным током банка ?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн сен 10, 2018 20:18:41 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Да, в самом квартусе, точнее в пинпланере.
Что касается ограничения по току, то допустим есть возможность указать максимальный ток одного вывода 24 мА. В банке, допустим 20 выводов, и вдруг нам захотелось каждому выводу назначить 24 мА. Получим 480мА. Ограничения самого банка при этом 200 мА, получается перебор.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , , 4, , ,  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 4


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y