Например TDA7294

Форум РадиоКот • Просмотр темы - Двухразрядный умножитель vhdl
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Чт апр 18, 2024 11:44:42

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 6 ] 
Автор Сообщение
Не в сети
 Заголовок сообщения: Двухразрядный умножитель vhdl
СообщениеДобавлено: Вт окт 08, 2013 14:22:22 
Родился

Зарегистрирован: Вт окт 08, 2013 14:18:45
Сообщений: 3
Рейтинг сообщения: 0
Провести описание (двумя способами) логической схемы с регулярными соединениями элементов и сравнить результаты моделирования.

С сумматором все понятно, но как описать умножитель?((


Вложения:
Комментарий к файлу: фотка
1.JPG [51.67 KiB]
Скачиваний: 1005
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Двухразрядный умножитель vhdl
СообщениеДобавлено: Вт окт 08, 2013 20:32:43 
Мудрый кот
Аватар пользователя

Карма: 24
Рейтинг сообщений: 286
Зарегистрирован: Чт июн 10, 2010 08:55:35
Сообщений: 1810
Откуда: Сибирские Афины
Рейтинг сообщения: 0
Что, лекции не слушал? :))

i - номер бита множимого
j - номер бита множителя

Ni*Mj=0, если Ni или Mj равно 0
Ni*Mj=Ni*2^j, если Ni и Mj равны 1

Умножение на 2^j это, фактически, сдвиг, т.е. можно свести к одно битовым сумматорам со сдвигом, подобно обычному умножению в столбик.
Код:
      11001001b
*          101b
  ----------
      11001001b
+    00000000 b
+   11001001  b 
  ----------
    1111101101b

Для множителей больших размеров есть хитрости. Посмотреть можно, например, тут. И вообще, поисковики рулят.

Банзай! Дерзай! :)

_________________
Когда уже ничего не помогает - прочтите, наконец, инструкцию.
Лучший оптимизатор находится у вас между ушей. (Майкл Абраш, программист Quake и QuakeII)
Избыток информации ведёт к оскудению души - Леонтьев А. (сказано в 1965 г.)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Двухразрядный умножитель vhdl
СообщениеДобавлено: Ср окт 09, 2013 14:26:06 
Родился

Зарегистрирован: Вт окт 08, 2013 14:18:45
Сообщений: 3
Рейтинг сообщения: 0
Как раз таки это до меня дошло (вот только применять уж извините мозговю.net), я начеркал такой код, но правильно ли я в begin все сделал?

Код:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity mult2 is
    Port ( a1 : in  STD_LOGIC;
           a2 : in  STD_LOGIC;
           sx : in  STD_LOGIC;
           p1 : out  STD_LOGIC;
           p2 : out  STD_LOGIC);
end mult2;

architecture Behavioral of mult2 is

COMPONENT add1
   PORT(
      a : IN std_logic;
      b : IN std_logic;         
      s : OUT std_logic;
      c : OUT std_logic
      );
   END COMPONENT;
   
signal s1,s2 : std_logic;

begin

   p1 <= a1 and sx;
   s1 <= a1 and a2;
   s2 <= a2 and sx;
   o1: add1 port map (s1,p2,s2);
   o2: add1 port map (s2);

end Behavioral;


Последний раз редактировалось SKyzZz Ср окт 09, 2013 15:24:20, всего редактировалось 1 раз.

Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Двухразрядный умножитель vhdl
СообщениеДобавлено: Ср окт 09, 2013 15:04:41 
Мудрый кот
Аватар пользователя

Карма: 24
Рейтинг сообщений: 286
Зарегистрирован: Чт июн 10, 2010 08:55:35
Сообщений: 1810
Откуда: Сибирские Афины
Рейтинг сообщения: 0
Цитировать предыдущее сообщение, да ещё полностью, это нарушение правил этого форума (если мне не изменяет память). Уберите цитату, а то от модераторов ещё оплеуху получите. :)

С синтаксисом VHDL я вам не помогу, давно это было, как говориться.
И, как вы наверное уже догадались, поисковики снова рулят!
http://yandex.ru/yandsearch?text=vhdl+m ... 9644&lr=67
http://www.csee.umbc.edu/portal/help/VH ... html#mul32
Я бы на вашем месте пару тройку страниц поискового ответа просмотрел бы...
Вы не первый с таким вопросом, и вы далеко не одиноки...

_________________
Когда уже ничего не помогает - прочтите, наконец, инструкцию.
Лучший оптимизатор находится у вас между ушей. (Майкл Абраш, программист Quake и QuakeII)
Избыток информации ведёт к оскудению души - Леонтьев А. (сказано в 1965 г.)


Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Двухразрядный умножитель vhdl
СообщениеДобавлено: Ср окт 09, 2013 15:27:19 
Родился

Зарегистрирован: Вт окт 08, 2013 14:18:45
Сообщений: 3
Рейтинг сообщения: 0
Да не там искал скорее всего, спасибо, просто мне по большому счастью это не нужно, потому, мне гораздо интересно ковыряться в ассемблере например...не мое это явно)


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Двухразрядный умножитель vhdl
СообщениеДобавлено: Ср окт 09, 2013 16:04:17 
Говорящий с текстолитом
Аватар пользователя

Карма: 33
Рейтинг сообщений: 148
Зарегистрирован: Вс июн 24, 2012 16:07:00
Сообщений: 1572
Откуда: Лен.Обл.
Рейтинг сообщения: 0
А есть ещё однотактные умножители... :) http://paralichka85.px6.ru/5cpu/glava05_11.htm

_________________
И день и ночь в пути...
Мои программки: https://github.com/da-nie
Мои публикации: https://habr.com/ru/users/da-nie/posts/
Мои видео: https://www.youtube.com/channel/UCUroi3 ... 52g/videos


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 6 ] 

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 7


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y