Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по МК и ПЛИС.
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Ср апр 24, 2024 15:03:16

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 12482 ]     ... , , , 588, , , ...  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн янв 22, 2018 21:20:14 
Открыл глаза

Карма: -5
Рейтинг сообщений: -5
Зарегистрирован: Вс июн 26, 2016 16:42:48
Сообщений: 64
Рейтинг сообщения: 0
Можете ответить проще, я очень мало знаю в этой области.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 11:02:27 
Поставщик валерьянки для Кота

Карма: 16
Рейтинг сообщений: 329
Зарегистрирован: Вт ноя 27, 2007 11:32:06
Сообщений: 2222
Откуда: Tashkent
Рейтинг сообщения: 0
Во многих stm32 имеется несколько кварцевых генераторов:
- HSE для подключения высокочастотных кварцев(мегагерцы, ноги OSC).
- LSE для подключения низкочастотных кварцев для наручных часов(32кГц, ноги OSC32). Используется главным образом для функций часов и календаря.

И в даташите на F405-й камень я что-то не увидел такого ограничения по ёмкостям.
Вот для F100 точно имеется такое ограничение.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 12:29:45 
Опытный кот
Аватар пользователя

Карма: 16
Рейтинг сообщений: 170
Зарегистрирован: Вс дек 02, 2012 16:58:33
Сообщений: 828
Откуда: Уже не город Белых гор
Рейтинг сообщения: 1
STM32 имеют очень маломощные кварцевые генераторы LSE (32768Гц) и используют специальные резонаторы с малой ёмкостью нагрузки.
Поэтому ваш кварц может не запуститься. Ищите кварц с малой ёмкостью, такой же, как стоит в платах Discovery.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 12:43:12 
Открыл глаза

Карма: -5
Рейтинг сообщений: -5
Зарегистрирован: Вс июн 26, 2016 16:42:48
Сообщений: 64
Рейтинг сообщения: 0
И в даташите на F405-й камень я что-то не увидел такого ограничения по ёмкостям.
Вот для F100 точно имеется такое ограничение.


Вложение:
Комментарий к файлу: Вот и я сомневаюсь, правильно ли я понял этот абзац, это ограничение?
Безымянный.jpg [148.38 KiB]
Скачиваний: 333


Вернуться наверх
 
Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 14:18:47 
Сверлит текстолит когтями
Аватар пользователя

Карма: 4
Рейтинг сообщений: 10
Зарегистрирован: Пт фев 16, 2007 14:18:20
Сообщений: 1132
Откуда: Новосибирск
Рейтинг сообщения: 0
Всем привет! Если устройство находится далеко и заполучить его нет возможности, то как понять что за баг там? Я так понял, что нужно вести лог, туда записывать сообщения об ошибках, переходы между автоматами состояний и вообще все, что может быть только полезно пихать в лог. Как грамотно реализовать логгирование событий? На FRAM или же SD карту, например? Но тогда стает вопрос о том какого объема журнал, как часто его обнулять. Можете поделиться опытом сопровождения удаленных устройств.

_________________
Теория — это когда все известно, но ничего не работает. Практика — это когда все работает, но никто не знает почему. Мы же объединяем теорию и практику: ничего не работает… и никто не знает почему!
© Альберт Эйнштейн


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 15:45:30 
Открыл глаза

Карма: 1
Рейтинг сообщений: 1
Зарегистрирован: Чт дек 28, 2017 23:07:31
Сообщений: 53
Рейтинг сообщения: 0
Chip115 писал(а):
то как понять что за баг там?
смотря что это за "баг". Куда писать - зависит как от скорости опроса, так и от объема данных.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 16:36:35 
Сверлит текстолит когтями
Аватар пользователя

Карма: 4
Рейтинг сообщений: 10
Зарегистрирован: Пт фев 16, 2007 14:18:20
Сообщений: 1132
Откуда: Новосибирск
Рейтинг сообщения: 0
Спасибо за ответ. Безусловно, что за баг - станет ясно после анализа лога. Вообще, есть устройство "лабораторное". Есть n-устройств к заказчика. При наступлении ряда событий происходит сбой. Вызвать аналогичный сбой лабораторного устройства (моделируя ситуацию) часто очень затруднительно. Вроде бы выставляем все как на объекте, но у нас все работает как часы. Вот и забралась идея записывать показания всех датчиков (их штук пять), манипуляции с кнопками (их меньше десятка, а "ходовых" вообще три), режим работы устройства, контроль напряжения питания... . Я понимаю что для адекватного совета крайне мало данных. Может если кто-нибудь рассказал как он реализовывал телеметрию (кажется, по факту, я хочу сделать именно это), мониторинг стадий работы устройства. У девайса выхода в сеть нет. Так что остается писать что-нибудь в память, а потом скидывать на SD карту (ну или сразу на нее писать).

_________________
Теория — это когда все известно, но ничего не работает. Практика — это когда все работает, но никто не знает почему. Мы же объединяем теорию и практику: ничего не работает… и никто не знает почему!
© Альберт Эйнштейн


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 17:48:46 
Опытный кот
Аватар пользователя

Карма: 7
Рейтинг сообщений: 48
Зарегистрирован: Вт июн 17, 2014 00:34:26
Сообщений: 791
Рейтинг сообщения: 0
Добрый день, пришла плата с ep4ce6 на борту и ПЗУ epcs16. Продавец выслал прошивки для epcs4, подскажите как залить прошивку которую выслал продавец?
Персобирать проект или как-то проще можно решить этот вопрос?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 18:18:34 
Открыл глаза

Карма: -5
Рейтинг сообщений: -5
Зарегистрирован: Вс июн 26, 2016 16:42:48
Сообщений: 64
Рейтинг сообщения: 0
По моему вопросу viewtopic.php?p=3289960#p3289960

Знающие люди сказали - не парься, ставь 12,5пФ, но можно и больше, мол STM и 50пФ общую емкость качает.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 18:35:19 
Опытный кот
Аватар пользователя

Карма: 7
Рейтинг сообщений: 48
Зарегистрирован: Вт июн 17, 2014 00:34:26
Сообщений: 791
Рейтинг сообщения: 0
Разобрался, надо было конвертировать sof файл в jic, там при конфигурации можно задать тип ПЗУ.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 19:59:46 
Открыл глаза

Карма: 1
Рейтинг сообщений: 1
Зарегистрирован: Чт дек 28, 2017 23:07:31
Сообщений: 53
Рейтинг сообщения: 0
Chip115 писал(а):
Я понимаю что для адекватного совета крайне мало данных.
.. общих фраз типа "все плохо" точно недостаточно - как известно "дьявол кроется в деталях" :) . Вариантов ловли несколько - если есть доступ к исходному коду устройств, можно дописать ф-ции отладки, если нет делается сниффер, который пишет события по таймеру или по изменению уровней на исследуемых девайсах. А вообще стоит вначале понять характер ошибки - т.е. "логическая" она или аппаратная.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт янв 23, 2018 22:30:43 
Грызет канифоль
Аватар пользователя

Карма: -2
Рейтинг сообщений: 43
Зарегистрирован: Ср янв 17, 2018 13:09:14
Сообщений: 250
Рейтинг сообщения: 0
Начать можно с минимума - писать только регистрируемые внешние раздражители - сигналы с датчиков, кнопок, крутилок, входы АЦП - вместе со штампом времени, например миллисекунды от начала работы. И по таймеру регулярно писать пустой такой штамп, чтобы видеть сколько времени после последнего внешнего раздражителя девайс еще функционировал. Хотя если он у вас не виснет наглухо, а просто начинает включать не то что нужно, смысла в регулярном штампе времени нет :)
Если не поможет отловить причину - тогда уже делать настолько подробное логирование насколько позволяют ресурсы контроллера и возможности места хранения. Вплоть до входа в каждую функцию с переданными параметрами, выход из нее, промежуточные ключевые моменты внутри функций.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср янв 24, 2018 10:22:10 
Поставщик валерьянки для Кота

Карма: 16
Рейтинг сообщений: 329
Зарегистрирован: Вт ноя 27, 2007 11:32:06
Сообщений: 2222
Откуда: Tashkent
Рейтинг сообщения: 1
questioner писал(а):
Вот и я сомневаюсь, правильно ли я понял этот абзац, это ограничение?


questioner писал(а):
Прошивка под кварц - 11.0592 МГц. Подбираю кондеры к кварцу.


Ограничение понято правильно, но не правильно понято место его применения.
Посмотрите на картинку ниже где Фигуре30. Какая частота кварца там нарисована? Вот этот генератор LSE умеет работать только с таким кварцем и никаким больше.
С кварцем 11Мгц может работать только генератор HSE, он сидит на других ногах. И там другие ограничения.
Если снова ничего не понятно, то придётся читать RM и AN2867.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср фев 07, 2018 01:44:53 
Электрический кот
Аватар пользователя

Карма: 4
Рейтинг сообщений: 48
Зарегистрирован: Вт янв 08, 2013 04:08:16
Сообщений: 1036
Откуда: FAR EAST FOREVER
Рейтинг сообщения: 0
Уважаемые, можно ли использовать такой метод замены кнопки (реле) для контроллера? Подача управляющего сигнала от другого оборудования. И если да, то какое оптореле будет лучше?


Вложения:
Образец.pdf [9.36 KiB]
Скачиваний: 209

_________________
1.Всегда бывает первый раз.
2.Родился сам, помоги другому
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср фев 07, 2018 04:27:12 
Друг Кота

Карма: 64
Рейтинг сообщений: 966
Зарегистрирован: Пт мар 07, 2008 06:54:43
Сообщений: 4221
Откуда: Ижевск
Рейтинг сообщения: 0
Можно. PC817 лучше. Принимать от триака MOC3053, наверно, тоже можно, но придется всё время переводить лапу на вывод 0 при включенном триаке, т.е. ждать когда же наконец управление погасит светик. Да и неизвестно, хватит ли встроенной подтяжки для срабатывания триака. Гемор, короче.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср фев 07, 2018 04:40:15 
Электрический кот
Аватар пользователя

Карма: 4
Рейтинг сообщений: 48
Зарегистрирован: Вт янв 08, 2013 04:08:16
Сообщений: 1036
Откуда: FAR EAST FOREVER
Рейтинг сообщения: 0
Ок, у триака внутренне сопротивление оказывается большое. (на протеусе погонял), в итоге падение напряжения. PC 817 лучше. Спс, продолжу дальше обкатку

_________________
1.Всегда бывает первый раз.
2.Родился сам, помоги другому


Вернуться наверх
 
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вс фев 11, 2018 08:38:38 
Я правильно понял таблицу из RM0360 (STM32F0xx), что ведомым здесь TIM15? а к нему подключаются ведущими TIM3, 16(OC) и 17(OC)?
Изображение

СпойлерЗапилил для себя шпаргалку, может кому полезной будет:
Изображение


Вернуться наверх
   
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вс фев 18, 2018 06:01:56 
Модератор
Аватар пользователя

Карма: 153
Рейтинг сообщений: 2808
Зарегистрирован: Сб авг 14, 2010 15:05:51
Сообщений: 18094
Откуда: г. Озерск, Челябинская обл.
Рейтинг сообщения: 0
Медали: 1
Лучший человек Форума 2017 (1)
вопрос такой, касательно МК АТМега8.
если я не пользуюсь бутлодером, то можно писать в область, отведенную для бутлодера?

_________________
Мудрость приходит вместе с импотенцией...
Когда на русском форуме переходят на Вы, в реальной жизни начинают бить морду.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вс фев 18, 2018 07:17:24 
Друг Кота

Карма: 64
Рейтинг сообщений: 966
Зарегистрирован: Пт мар 07, 2008 06:54:43
Сообщений: 4221
Откуда: Ижевск
Рейтинг сообщения: 0
Пишите на здоровье.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вс фев 18, 2018 20:03:29 
Модератор
Аватар пользователя

Карма: 153
Рейтинг сообщений: 2808
Зарегистрирован: Сб авг 14, 2010 15:05:51
Сообщений: 18094
Откуда: г. Озерск, Челябинская обл.
Рейтинг сообщения: 0
Медали: 1
Лучший человек Форума 2017 (1)
спасибо. я так и думал, что это место можно занимать.
и позволю себе еще вопрос.
а в каких случаях нужен бутлодер и что он загружает?

_________________
Мудрость приходит вместе с импотенцией...
Когда на русском форуме переходят на Вы, в реальной жизни начинают бить морду.


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 12482 ]     ... , , , 588, , , ...  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 22


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y